http://www.qdev.de/?location=electronics/9536dev


9536dev

9536dev I developed this board for programming and testing Xilinx XC9536 CPLD devices. It features a JTAG-connector for programming access and all I/O-signals are wired to connectors. Additionally, there are four LEDs and a 4x DIP-switch for simple test input/output tests.
This board is very straight-forward. I think no further description is necessary.
This is the print version. Back to normal view